Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Article
  • Published:

Low-thermal-budget synthesis of monolayer molybdenum disulfide for silicon back-end-of-line integration on a 200 mm platform

Abstract

Two-dimensional (2D) materials are promising candidates for future electronics due to their excellent electrical and photonic properties. Although promising results on the wafer-scale synthesis (≤150 mm diameter) of monolayer molybdenum disulfide (MoS2) have already been reported, the high-quality synthesis of 2D materials on wafers of 200 mm or larger, which are typically used in commercial silicon foundries, remains difficult. The back-end-of-line (BEOL) integration of directly grown 2D materials on silicon complementary metal–oxide–semiconductor (CMOS) circuits is also unavailable due to the high thermal budget required, which far exceeds the limits of silicon BEOL integration (<400 °C). This high temperature forces the use of challenging transfer processes, which tend to introduce defects and contamination to both the 2D materials and the BEOL circuits. Here we report a low-thermal-budget synthesis method (growth temperature < 300 °C, growth time ≤ 60 min) for monolayer MoS2 films, which enables the 2D material to be synthesized at a temperature below the precursor decomposition temperature and grown directly on silicon CMOS circuits without requiring any transfer process. We designed a metal–organic chemical vapour deposition reactor to separate the low-temperature growth region from the high-temperature chalcogenide-precursor-decomposition region. We obtain monolayer MoS2 with electrical uniformity on 200 mm wafers, as well as a high material quality with an electron mobility of ~35.9 cm2 V−1 s−1. Finally, we demonstrate a silicon-CMOS-compatible BEOL fabrication process flow for MoS2 transistors; the performance of these silicon devices shows negligible degradation (current variation < 0.5%, threshold voltage shift < 20 mV). We believe that this is an important step towards monolithic 3D integration for future electronics.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Low-temperature MOCVD of MoS2.
Fig. 2: Material characterization.
Fig. 3: Uniformity characterization of the 200 mm monolayer MoS2 synthesized at low temperature.
Fig. 4: BEOL integration of MoS2 transistors with silicon transistors.

Similar content being viewed by others

Data availability

All data are available in the paper and Supplementary Information. All materials are available on figshare at https://doi.org/10.6084/m9.figshare.21761057 or upon request from T.P.

References

  1. Khan, H. N. et al. Science and research policy at the end of Moore’s law. Nat. Electron. 1, 14–21 (2018).

    Article  Google Scholar 

  2. Li, M.-Y. et al. How 2D semiconductors could extend Moore’s law. Nature 567, 169–170 (2019).

    Article  CAS  Google Scholar 

  3. Hills, G. et al. Modern microprocessor built from complementary carbon nanotube transistors. Nature 572, 595–602 (2019).

    Article  CAS  Google Scholar 

  4. Wang, H. et al. Integrated circuits based on bilayer MoS2 transistors. Nano Lett. 12, 4674–4680 (2012).

    Article  CAS  Google Scholar 

  5. Si, M. et al. Scaled indium oxide transistors fabricated using atomic layer deposition. Nat. Electron. 5, 164–170 (2022).

    Article  CAS  Google Scholar 

  6. Manzeli, S. et al. 2D transition metal dichalcogenides. Nat. Rev. Mater. 2, 17033 (2017).

    Article  CAS  Google Scholar 

  7. Lemme, M. C. et al. 2D material for future heterogeneous electronics. Nat. Commun. 13, 1392 (2022).

    Article  CAS  Google Scholar 

  8. Zhang, X. et al. Two-dimensional MoS2-enabled flexible rectenna for Wi-Fi-band wireless energy harvesting. Nature 566, 368–372 (2019).

    Article  Google Scholar 

  9. Xue, M. et al. Integrated biosensor platform based on graphene transistor arrays for real-time high-accuracy ion sensing. Nat. Commun. 13, 5064 (2022).

    Article  CAS  Google Scholar 

  10. Li, T. et al. Epitaxial growth of wafer-scale molybdenum disulfide semiconductor single crystals on sapphire. Nat. Nanotechnol. 16, 1201–1207 (2021).

    Article  CAS  Google Scholar 

  11. Kang, K. et al. High-mobility three-atom-thick semiconducting films with wafer-scale homogeneity. Nature 520, 656–660 (2015).

    Article  CAS  Google Scholar 

  12. Yang, P. et al. Batch production of 6-inch uniform monolayer molybdenum disulfide catalyzed by sodium in glass. Nat. Commun. 9, 979 (2018).

    Article  Google Scholar 

  13. Asselberghs, I. et al. Wafer-scale integration of double gated WS2-transistors in 300 mm Si CMOS fab. In Proc. 2020 IEEE International Electron Devices Meeting (IEDM) 40.2.1–40.2.4 (IEEE, 2020); https://doi.org/10.1109/IEDM13553.2020.9371926

  14. O’Brien, K. P. et al. Advancing 2D monolayer CMOS through contact, channel and interface engineering. In Proc. 2021 IEEE International Electron Devices Meeting (IEDM) 7.1.1–7.1.4 (IEEE, 2021); https://doi.org/10.1109/IEDM19574.2021.9720651

  15. Meng, W. et al. Three-dimensional monolithic micro-LED display driven by atomically thin transistor matrix. Nat. Nanotechnol. 16, 1231–1236 (2021).

    Article  CAS  Google Scholar 

  16. Hwangbo, S. et al. Wafer-scale monolithic integration of full-colour micro-LED display using MoS2 transistor. Nat. Nanotechnol. 17, 500–506 (2022).

    Article  CAS  Google Scholar 

  17. Koike, J., Hosseini, M., Hai, H. T., Ando, D. & Sutou, Y. Material innovation for MOL, BEOL, and 3D integration. In Proc. 2017 IEEE International Electron Devices Meeting (IEDM) 32.3.1–32.3.4 (IEEE, 2017); https://doi.org/10.1109/IEDM.2017.8268485

  18. Zhang, W. et al. High-gain phototransistors based on a CVD MoS2 monolayer. Adv. Mater. 25, 3456–3461 (2013).

    Article  CAS  Google Scholar 

  19. Yim, C. et al. High-performance hybrid electronic devices from layered PtSe2 films grown at low Temperature. ACS Nano 10, 9550–9558 (2016).

    Article  CAS  Google Scholar 

  20. Park, J.-H. et al. Synthesis of high-performance monolayer molybdenum disulfide at low temperature. Small Methods 5, 2000720 (2021).

    Article  CAS  Google Scholar 

  21. Mun, J. et al. High-mobility MoS2 directly grown on polymer substrate with kinetics-controlled metal–organic chemical vapor deposition. ACS Appl. Electron. Mater. 1, 608–616 (2019).

    Article  CAS  Google Scholar 

  22. Schaefer, C. M. et al. Carbon Incorporation in MOCVD of MoS2 thin films grown from an organosulfide precursor. Chem. Mater. 33, 4474–4487 (2021).

    Article  CAS  Google Scholar 

  23. Cole-Hamilton, D. J. & Williams, J. O. (eds) Mechanisms of Reactions of Organometallic Compounds with Surfaces (Plenum, 2014).

  24. Nam, H. & Shin, C. Impact of current flow shape in tapered (versus rectangular) FinFET on threshold voltage variation induced by work-function variation. IEEE Trans. Electron. Devices 61, 2007–2011 (2014).

    Article  CAS  Google Scholar 

  25. Zhang, C. et al. An accurate method to extract and separate interface and gate oxide traps by the MOSFET subthreshold current. In Technical Proc. 2011 NSTI Nanotechnology Conference and Expo Vol. 2 (eds Laudon, M. & Romanowicz, B.) 180–183 (Nano Science and Technology Institute, CRC, 2011).

  26. Cheng, Z. et al. How to report and benchmark emerging field-effect transistors. Nat. Electron. 5, 416–423 (2022).

    Article  Google Scholar 

  27. Bao, W. et al. High mobility ambipolar MoS2 field-effect transistors: substrate and dielectric effects. Appl. Phys. Lett. 102, 042104 (2013).

    Article  Google Scholar 

  28. Illarionov, Y. Y. U. et al. Insulators for 2D nanoelectronics: the gap to bridge. Nat. Commun. 11, 3385 (2020).

    Article  CAS  Google Scholar 

  29. Ma, N. & Jena, D. Charge scattering and mobility in atomically thin semiconductors. Phys. Rev. X 4, 011043 (2014).

    CAS  Google Scholar 

  30. Yu, Z. et al. Realization of room-temperature phonon-limited carrier transport in monolayer MoS2 by dielectric and carrier screening. Adv. Mater. 28, 547–552 (2016).

    Article  CAS  Google Scholar 

  31. Liu, X. et al. A modified wrinkle-free MoS2 film transfer method for large area high mobility field-effect transistor. Nanotechnology 24, 055707 (2020).

    Article  Google Scholar 

  32. Li, N. et al. Large-scale flexible and transparent electronics based on monolayer molybdenum disulfide field-effect transistors. Nat. Electron. 3, 177–717 (2020).

    Article  Google Scholar 

  33. Sebastian, A. et al. Benchmarking monolayer MoS2 and WS2 field-effect transistors. Nat. Commun. 12, 693 (2021).

    Article  CAS  Google Scholar 

  34. Seol, M. et al. High-throughput growth of wafer-scale monolayer transition metal dichalcogenide via vertical Ostwald ripening. Adv. Mater. 32, 2003542 (2020).

    Article  CAS  Google Scholar 

  35. Kim, T. et al. Wafer-scale production of highly uniform two-dimensional MoS2 by metal–organic chemical vapor deposition. Nanotechnology 28, 18LT01 (2017).

    Article  Google Scholar 

  36. Roy, A., Grossmann, P. J., Vitale, S. A. & Calhoun, B. H. A 1.3 µW, 5 pJ/cycle sub-threshold MSP430 processor in 90 nm xLP FDSOI for energy-efficient IoT applications. In Proc. 2016 17th International Symposium on Quality Electronic Design (ISQED) 158–162 (IEEE, 2016); https://doi.org/10.1109/ISQED.2016.7479193

  37. Weller, H. G. et al. A tensorial approach to computational continuum mechanics using object-oriented techniques. Comput. Phys. 12, 620–631 (1998).

    Article  Google Scholar 

  38. Peng, D.-Y. & Robinson, D. B. A new two-constant equation of state. Ind. Eng. Chem. Fundam. 15, 59–64 (1976).

    Article  CAS  Google Scholar 

  39. Tkaczuk, J. et al. Equations of state for the thermodynamic properties of binary mixtures for helium-4, neon, and argon. J. Phys. Chem. Ref. Data 49, 023101 (2020).

    Article  CAS  Google Scholar 

  40. Tegeler, C. et al. A new equation of state for argon covering the fluid region for temperatures from the melting line to 700 K at pressures up to 1000 MPa. J. Phys. Chem. Ref. Data 28, 779–850 (1999).

    Article  CAS  Google Scholar 

  41. Lemmon, E. W. & Jacobsen, R. T. Viscosity and thermal conductivity equations for nitrogen, oxygen, argon, and air. Int. J. Thermophys. 25, 21–69 (2004).

    Article  CAS  Google Scholar 

  42. Darwish, M. & Moukalled, F. The Finite Volume Method in Computational Fluid Dynamics: An Advanced Introduction with OpenFOAM® and Matlab® (Springer, 2021).

Download references

Acknowledgements

We thank C. Lin and A. Zubair for helpful discussions. We are also grateful for the assistance from Y. Shao, J.-H. Hsia, A. Yao, Y. Yang, A. Penn, D. Morales, Y. Hou, J. Moodera, J. Baylon, P. Kingsview, M. Hempel, G. Riggott and K. Broderick. This work was carried out in part through the use of MIT.nano’s facilities and with the support of the TSMC University Shuttle Program. J.Z., M.X. and T.P. are supported by the MIT-Army Institute for Soldier Nanotechnologies (W911NF-13-D-0001), the NSF Center for Integrated Quantum Materials (grant DMR-1231319) and Ericsson AB. J.-H.P., J.W., Z.W. and J.K. acknowledge the support from the US Army Research Office MURI project under grant number W911NF-18-1-04320432 and the US Army Research Office through the Institute for Soldier Nanotechnologies at MIT, under cooperative agreement number W911NF-18-2-0048. T.Z. and X.Z. acknowledge the support from the US Department of Energy (DOE), Office of Science, Basic Energy Sciences under award DE-SC0020042. For authors from the MIT Lincoln Laboratory (S.A.V. and M.M.), this work is approved for public release. Distribution is unlimited. This material is based upon work supported by the Under Secretary of Defense for Research and Engineering under Air Force contract number FA8702-15-D-0001. Any opinions, findings, conclusions or recommendations expressed in this material are those of the author(s) and do not necessarily reflect the views of the Under Secretary of Defense for Research and Engineering. W.G. and G.S.J. acknowledge support by the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory, managed by UT–Battelle, LLC, for the US DOE under contract DE-AC05-00OR22725 (Eugene P. Wigner Fellowship). This research used resources from the Compute and Data Environment for Science at the Oak Ridge National Laboratory, which is supported by the Office of Science of the US DOE under contract number DE-AC05-00OR22725. This manuscript has been authored by UT–Battelle, LLC, under contract number DE-AC05-00OR22725 with the US DOE. The United States Government retains and the publisher, by accepting the article for publication, acknowledges that the United States Government retains a non-exclusive, paid-up, irrevocable, world-wide license to publish or reproduce the published form of this manuscript, or allow others to do so, for United States Government purposes. The US DOE will provide public access to these results of federally sponsored research in accordance with the DOE Public Access Plan (http://energy.gov/downloads/doe-public-access-plan). M.A. acknowledges the support from the MITRE Innovation Program, the NSF Graduate Research Fellowship Program (grant 1745302) and the MathWorks Engineering Fellowship. J.H. is supported by Ericsson AB. A.C. acknowledges the support from the MITRE Innovation Program and Ericsson AB.

Author information

Authors and Affiliations

Authors

Contributions

T.P. and J.K. supervised the project. J.Z., T.P. and J.-H.P. conceived the experiments. J.Z. and J.-H.P. performed the material synthesis. J.-H.P., T.Z. and Z.W. performed the material characterizations. J.Z. and J.-H.P. fabricated the MoS2 transistors. J.-H.P., J.Z. and J.W. designed the MOCVD system. J.Z., J.-H.P. and M.X. carried out the device measurements. S.A.V. and M.M. fabricated the silicon transistors and circuits. W.G. and G.S.J. designed the CFD models and contributed equally to the simulations. J.W. helped with the initial fluid dynamics simulations. M.A. carried out the ADC design and measurements. M.X., X.Z., J.H., A.C. and J.K. participated in data analysis. J.Z. wrote the paper. All authors read and revised the paper.

Corresponding authors

Correspondence to Jing Kong or Tomás Palacios.

Ethics declarations

Competing interests

J.Z., J.-H.P., J.W., J.K. and T.P. have applied for a provisional patent (application number, 63/398,331; filing date, 16 August 2022) related to the results presented in Figs. 14 and Extended Data Figs. 2,3,57. Formal patent pending. The other authors declare no competing interests.

Peer review

Peer review information

Nature Nanotechnology thanks Taesung Kim, Max Lemme and the other, anonymous, reviewer(s) for their contribution to the peer review of this work.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Extended data

Extended Data Fig. 1 Detailed Photographs of the custom MOCVD system.

a, Overview of the MOCVD system. From left to right are: 1) Controlling computer, 2) Mass flow controllers, precursors and gas inlet, 3) Reaction chamber, 4) Dry pump and vacuum gauge, 5) Stainless steel enclosure. b, 8-inch quartz wafer boat. To avoid potential blocking of the gas flow by the quartz wafer boat, we cut away the parts in the red rectangle. c, Picture of an 8” wafer vertically mounted to the adapted 8-inch quartz wafer boat. There is no blocking on the front side to ensure uniform MoS2 growth on the wafer. The dark color on the quartz wafer boat comes from the MoS2 accumulated during the deposition process.

Extended Data Fig. 2 MOCVD synthesis process of monolayer MoS2 film.

a, SEM image of MoS2 synthesized after 40 mins. b, SEM image of MoS2 synthesized after 55 mins. c, SEM image of MoS2 synthesized after 60 mins. The discrete flakes gradually merge into a continuous film with grain size around 200 nm. scale bar: 1 µm. d, Selective area electron diffraction (SAED) pattern of the synthesized monolayer MoS2 thin film, a polycrystalline structure can be observed. e, HR-STEM image of a region where two grains with different crystal orientation are merged. Good stitching between the grains can be observed. f, Fast Fourier transform (FFT) of (e). Two different set of single crystal MoS2 patterns are overlaid together. g, FFT of the region in the orange rectangle in (e), where two grains merged together. Two sets of single crystal MoS2 patterns can be observed. h, FFT of the region in the red rectangle in (e). Single crystal MoS2 pattern can be observed. i, FFT of the region in the green rectangle in (e). Single crystal MoS2 pattern can be observed. j, Raman spectrum of MOCVD-grown MoS2 under low growth temperature (~275 °C).

Extended Data Fig. 3 Supplementary data for wafer scale uniformity.

a, Optical image of one back-gate MoS2 transistor on Al2O3/Si substrate. b, Hysteresis measurement of one of the MoS2 transistors with PMMA passivation. The gate voltage was swept from −2 V to 7 V (blue curve), and from 7 V to −2 V (red curve). The sweep speed is around 55 mV/sec with VDS = 0.7 V. The measurement was carried out in dark and ambient condition. c, Peak position mapping of the photoluminescence (PL) data over the 8-inch wafer. d, Integrated intensity mapping of the PL data over the 8-inch wafer. The color represents the integrated intensity of the peak from 1.82 eV to 1.90 eV photon energy. 100% refers to the highest integrated intensity of the monolayer MoS2 thin film measured over the 8-inch wafer. e, Optical image of the 8-inch wafer with fabricated MoS2 transistors. 300 nm SiO2/Si substrate was used as the global back gate structure. f, On-state resistance mapping of back-gated MoS2 transistors over 8-inch wafer. g, 4 MoS2 transistors which can represent the typical performance of transistors in the center region on 4 different 8” wafers.

Extended Data Fig. 4 Probability distribution functions (device counts) associated with the mapping data in Fig. 3 and Extended Data Fig. 3.

The red lines demonstrate the fitted Gaussian function based on the probability distribution. a, Peak position of photoluminescence (PL) measurement, b, Full width at half maximum (FWHM) of PL measurement, c, Integrated PL intensity. The gaussian fitting does not apply to this figure. d, Intensity of Raman A1g peak. e, FWHM of Raman \({\mathrm{E}}_{2{\mathrm{g}}}^1\) peak. f, mobility extracted from “Y-function” method. g, Threshold voltage. h, minimum subthreshold swing extracted from transfer characteristics. i, On-state resistance. The Gaussian fitting does not apply to this figure as it is impacted by multiple factors, for example contact resistance, channel resistance, fabrication variations, etc.

Extended Data Fig. 5 Feasibility of BEOL integration with MoS2 on top of silicon.

a, Sulfurization of aluminum wires inside MOCVD chamber during the MoS2 growth process. Even at temperatures as low as 190 °C, the sulfurization of aluminum wires still takes place, generating insulating aluminum sulfides which degrade the conductivity of the aluminum wire. b, SEM image of the as-fabricated silicon transistors (top-layer metal). c, SEM image of the silicon transistor after atomic layer deposition (ALD) passivation of 20 nm Al2O3. d, SEM image of the silicon transistor after MOCVD MoS2 growth. e, SEM image of the MoS2 flakes grown on the silicon CMOS sample (with 20 nm Al2O3 passivation) after 40 mins. The dark triangle-like flakes are monolayer MoS2 before coalescence. The squares are dummy top layer metals for the FEOL silicon circuits. f, resistance change of aluminum wires with and without Al2O3 passivation. g, resistance change of aluminum wires with SiO2 passivation (different thickness) and without passivation.

Extended Data Fig. 6 BEOL integration of MoS2 transistors with silicon transistors.

a, Transfer and b, output characteristics of the as-fabricated silicon devices (black curves) and after: ALD passivation of 20 nm Al2O3 (blue curves), MOCVD growth of monolayer MoS2 (red curves), and BEOL integration (green curves). c, MoS2 transistors with finger source/drain structures on silicon CMOS circuits before the top gate dielectric and gate terminal is formed. d-i, repeated measurements on one pMOSFET and one nMOSFET without the ALD and the MOCVD process to show the cycle-to-cycle variation caused by device measurements. d, Transfer characteristics of one silicon pMOSFET and one silicon nMOSFET during 10 consecutive measurements. e, Output characteristics of one silicon pMOSFET and one silicon nMOSFET during 10 consecutive measurements. f, Threshold voltage distribution of the silicon pMOSFET during the 10 measurements. g, Threshold voltage distribution of the silicon nMOSFET during the 10 measurements. h, On-current distribution of the silicon pMOSFET during the 10 measurements. i, On-current distribution of the silicon nMOSFET during the 10 measurements.

Extended Data Fig. 7 MoS2 growth on top of a foundry fabricated 8-bit analog-digital converter (ADC).

a, 8-bit ADC performance before MOCVD growth. Sine wave with 1.07 V amplitude, 15 kHz frequency was used as the input signal. 10 digital output waveforms are collected and plotted. b, 8-bit ADC performance after the MOCVD growth. Same input signal is used. The output digital signals demonstrate same performance as the pre-grown samples. c-e, Comparison of the (c) lowest digital output, (d) highest digital output, and (e) total digital output range before (grey bars) and after (green bars) the MOCVD growth.

Supplementary information

Supplementary Information

Supplementary Notes 1–7, Figs. 1–11 and Tables 1 and 2.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhu, J., Park, JH., Vitale, S.A. et al. Low-thermal-budget synthesis of monolayer molybdenum disulfide for silicon back-end-of-line integration on a 200 mm platform. Nat. Nanotechnol. 18, 456–463 (2023). https://doi.org/10.1038/s41565-023-01375-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41565-023-01375-6

This article is cited by

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing